UK Vintage Radio Repair and Restoration Powered By Google Custom Search Vintage Radio and TV Service Data

Go Back   UK Vintage Radio Repair and Restoration Discussion Forum > Specific Vintage Equipment > Television Standards Converters, Modulators etc

Notices

Television Standards Converters, Modulators etc Standards converters, modulators anything else for providing signals to vintage televisions.

Closed Thread
 
Thread Tools
Old 8th Nov 2011, 7:07 pm   #121
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

Today, I make the first try of this converter ....

Nothing burn but no video on the screen display... only a blank screen.

In fact, the output of the converter give the right synchro signal for the 819 lines.

All the top synchro are OK but no video at all.

It seems to be that my interpolator don't allow to the video signal to go away.

Ok => I need to work harder now.

Good night.

Fred.
pitbuell94 is offline  
Old 11th Nov 2011, 2:57 pm   #122
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Daryl and PPPPenguin,

Do you know if the IO of a XILINX are 5Volts tolerant

I think I found one of my fault .

I totaly forget that XILINX is supply by 3.3 Volts and the LM1881 and TDA8708A by 5 Volts.

In fact, I put bridge resistor ( 20 kOhms and 10 Kohms) concerning signal from the LM1881 but I forget them for the output of the TDA8708A.

Did you make this mistake too?

My XILINX is a SPARTAN 3E 500K.

I hope I don't need to buy a new one.

Fred.
pitbuell94 is offline  
Old 11th Nov 2011, 3:36 pm   #123
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

Spartan 3/3A/3E parts will survive 5V applied to a pin provided that the current that flows is not too great.

http://www.xilinx.com/support/answers/19146.htm

Google xilinx spartan 3e 5v interface or xilinx spartan 3e 5v tolerant for lots more information.
ppppenguin is offline  
Old 11th Nov 2011, 5:41 pm   #124
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

I PPPPenguin,

I think I burn my SPARTAN because only a resistor of 75 Ohms was in serie.

Only 5.5 mA is allow and I put inside a courant of 60mA.

I buy a new one

Frederic.
pitbuell94 is offline  
Old 11th Nov 2011, 5:52 pm   #125
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

Try it. The Xilinx may have survived. They are fairly tough. If you have fried a few pins you may be able to use some other pins for your inputs.

Using high value resistors like 10K and 20K will not work well at digital video speeds. The stray capacity will severely modify the waveform. You should be thinking of a few 100 ohms.
ppppenguin is offline  
Old 12th Nov 2011, 5:38 pm   #126
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

It's seem to be that the design of my interpolator was totaly wrong.

When I check step by step the code, I found some errors.

In fact, no video output was possible

When the FIFOs were in read mode, the video was set to 0.

I try again next monday the new design.

the code below is the correction.

sel <= sync & vidon & sel_fifo;-- sel est égal à la concaténation de ces 3 signaux

with sel select -- action à effectuer sur sig_interpolateur_data_out en fonction du résulaltat de sel

sig_interpolateur_data_out <= "00000000" when "0000"|"0100"|"0001"|"0101"|"0010"|"0110"|"0011"|" 0111",--niveau synchro
data_fifo_1 when "1101",--signal video fifo1
(('0' & (data_fifo_1(7 downto 1))) + ('0' & (data_fifo_2(7 downto 1)))) when "1111",--signal video fifo1 et fifo2
data_fifo_2 when "1110",--signal video fifo2
"01000000" when others;-- 1000, 1100, 1001, 1010, 1011


Have a nice week-end.

Fred.
pitbuell94 is offline  
Old 14th Nov 2011, 1:38 pm   #127
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

Today is a good day.

After changing the wrong code, some correct video signal appears at the output of the converter.

The XILINX SPARTAN is alive even if I give it too much power

I try today to connect this video to a TV.

Fred.
pitbuell94 is offline  
Old 14th Nov 2011, 2:22 pm   #128
cmjones01
Nonode
 
Join Date: Oct 2008
Location: Warsaw, Poland and Cambridge, UK
Posts: 2,676
Default Re: 819 line standards convertor.

That's great news! Do post a photo, even if it's just a scope trace
cmjones01 is online now  
Old 14th Nov 2011, 2:53 pm   #129
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

Some screen shots from the scope.

In yellow, this is the signal of the synchro coming from inside the FPGA.

The frquency is visible and value is 48.84µs.

In blue, the video signal.

Fred.
Attached Thumbnails
Click image for larger version

Name:	DSCN0118.JPG
Views:	153
Size:	28.1 KB
ID:	58643   Click image for larger version

Name:	DSCN0120.JPG
Views:	158
Size:	61.3 KB
ID:	58644   Click image for larger version

Name:	DSCN0124.JPG
Views:	166
Size:	17.4 KB
ID:	58645   Click image for larger version

Name:	DSCN0125.JPG
Views:	172
Size:	18.7 KB
ID:	58646   Click image for larger version

Name:	DSCN0126.JPG
Views:	155
Size:	16.1 KB
ID:	58647  

pitbuell94 is offline  
Old 14th Nov 2011, 2:57 pm   #130
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

Always good to see real signals. I cannot see any front porch (the gap between end of video and start of sync pulse).
ppppenguin is offline  
Old 14th Nov 2011, 3:00 pm   #131
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

I need togive someinformation concerning the 2 first screen shot.

There is a problem because as you can see, the value of the video signal is sometime, under the black level.

I make a mistake in my code driving the TDA8708a in mode 2=> see datasheet.

I unselect the video input during the blank period, so, the TDA8708 haven't the black level reference to run in mode2.

Now, the input is always set to 1 and as you can see, now the value of the luma signal is always above the black level.

The true test now is to connect TV.

In parallel, I build a JARDINE MODULATOR for the F8a channel.

I send soon photos.

Fred.
pitbuell94 is offline  
Old 14th Nov 2011, 3:04 pm   #132
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

You're right.

I need to trim the code because my front porch is too short=>less than 1µs.

Fred.
pitbuell94 is offline  
Old 14th Nov 2011, 3:18 pm   #133
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi again,

I check again, but all is correct.

The value of the front proch is maximun 0.5µs.

I send you some data concerning what I use for the 819 lines driver.

I add some extra pic with more details.

Fred.
Attached Thumbnails
Click image for larger version

Name:	DSCN0129.JPG
Views:	127
Size:	56.4 KB
ID:	58648   Click image for larger version

Name:	DSCN0130.JPG
Views:	135
Size:	62.4 KB
ID:	58649   Click image for larger version

Name:	DSCN0131.JPG
Views:	138
Size:	66.6 KB
ID:	58650   Click image for larger version

Name:	DSCN0132.JPG
Views:	141
Size:	61.5 KB
ID:	58651   Click image for larger version

Name:	DSCN0133.JPG
Views:	129
Size:	61.1 KB
ID:	58652  

pitbuell94 is offline  
Old 14th Nov 2011, 3:21 pm   #134
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

The data from 819 lines franch standart.

Fred.
Attached Files
File Type: pdf Document1.pdf (366.8 KB, 155 views)
File Type: pdf Document3.pdf (485.5 KB, 154 views)
File Type: pdf Document4.pdf (408.3 KB, 145 views)
File Type: pdf Document 2.pdf (375.5 KB, 141 views)
pitbuell94 is offline  
Old 16th Nov 2011, 2:50 pm   #135
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

The tests I make don't give good results.

When there is not 625 signal coming into the converter, the screen of my 819 TV is black.

THe synchro pulse are OK and there is no problem of bug display.

When I put signal, the synchro become strange.

Sometime, there some bad synchro pulse in addition of the normal pulse.

It's seem to be that the signal coming from the TDA8708a to XILINX is not good.

Normally, the LUMA value should move from level HEX"60" or 64 (decimal) up to 255 because I use the TDA8708 in mode 2.

After test, the value coming out from TDA8708 move from 0 up to 255 so, some luma information are equal to synchro line pulse.

What I can see is when I move in front of the camera, something change on the TV.

Did someone have any idea concerning the right path to use the TDA8708.

Thank you.

Fred.
pitbuell94 is offline  
Old 16th Nov 2011, 3:10 pm   #136
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

The TDA8708 digitises the entire signal including sync pulses. You must remove all data below black level. You will need VHDL something like this:

if VIDEO_FROM_8708 < 64 then CLIPPED_VIDEO <= 64;
else CLIPPED_VIDEO <= VIDEO_FROM_8708;
end if;

You may also have a problem with chroma information on a composite input signal. This must be removed. The simplest method is an analogue notch filter. A more sophisticated method is a digital IIR but this is much more complex to design. In our professional designs Darryl and I have always used dedicated decoder chips such as the TVP5150(?) or SAA7113. Thes have all the necessary filtering, sync separation etc all in the single chip.
ppppenguin is offline  
Old 16th Nov 2011, 3:16 pm   #137
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

OK, I try it.

Thank you.

Fred.
pitbuell94 is offline  
Old 18th Nov 2011, 8:48 pm   #138
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

I understand why my system don't run in normal way as soon I put a video signal inside.

I've totally forget that I need to clamp the video signal after the interpolator operation.

For these reason, the internal DAC convert the signal from 0 volt to maximun LUMA value and not from Black level value up to maximum Luma.

Because this, for all the value of the video signal less than the black level after the conversion, these value go true the ouptut without the addition of the synchro value.

The TV see multiple top synchro.

I send photos next time.

Fred.
pitbuell94 is offline  
Old 18th Nov 2011, 8:53 pm   #139
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi again,

In fact, I don't want to use the TDA8708A to digitise the complet signal.

I want to use the DAC just during the visible video period.

I don't use the TDA8708 during the other period.

I think I don't use the TDA8708 in the right mode.

It convert the signal from black level to top luma in signal from X"00" to X"FF".

I want a signal coming from X"64" up to X"FF".

Frederic.
pitbuell94 is offline  
Old 24th Nov 2011, 5:00 pm   #140
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

Because I can't find a way to add in VHDL correct code to mix SYNC and LUMA, I take an other path.

I mix with transistors all these signal but even if the signal look good with oscilloscope, in real life, there is no picture on the screen...

There is some LUMA value under the blank valu...

The TDA8708 give good code on the output, the LM1881 give good data too.

Can any jitter on some signal cause some disturbance on the design?

Frederic.
pitbuell94 is offline  
Closed Thread

Thread Tools



All times are GMT +1. The time now is 3:41 pm.


All information and advice on this forum is subject to the WARNING AND DISCLAIMER located at https://www.vintage-radio.net/rules.html.
Failure to heed this warning may result in death or serious injury to yourself and/or others.


Powered by vBulletin®
Copyright ©2000 - 2024, vBulletin Solutions, Inc.
Copyright ©2002 - 2023, Paul Stenning.