UK Vintage Radio Repair and Restoration Powered By Google Custom Search Vintage Radio and TV Service Data

Go Back   UK Vintage Radio Repair and Restoration Discussion Forum > Specific Vintage Equipment > Television Standards Converters, Modulators etc

Notices

Television Standards Converters, Modulators etc Standards converters, modulators anything else for providing signals to vintage televisions.

Closed Thread
 
Thread Tools
Old 20th Dec 2011, 4:33 pm   #161
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Darryl,

I find in my stock some ADV7180.

Do you use or know it?

It seems to be that chip is similar to TVP5150.

Fred.
pitbuell94 is offline  
Old 20th Dec 2011, 4:55 pm   #162
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

I don't know the ADV7180 but I have used other Analog Devices coder/decoder parts. I'm sure it will work in your application. If you are lucky, the data sheet or application notes will contain a suitable set of data to program into the device. It is also possible that the default reset values on pages 78-79 of the data sheet will allow it to operate.
ppppenguin is offline  
Old 20th Dec 2011, 6:54 pm   #163
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

I have used the ADV718X series of parts and they should be fine for this use. They do show typical programming examples near the end of the data sheet so these should provide a good starting point.

Darryl
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline  
Old 28th Dec 2011, 3:41 pm   #164
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Darryl,

Thank you for all the data.

I read all datasheet and then I'll take a choice.

frédéric.
pitbuell94 is offline  
Old 3rd Jan 2012, 5:40 pm   #165
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Dears Sirs,

First thing, Happy new Year and the best for everybody.

I try to design a driver for the I2C.

I think I'll use the ADV 7183 because Digilent make a board with this chip.

In the same time, I don't find correct data to program the TVP5150

The datasheet I have is with poor data...

I take the values from the datasheet to program the ADV7183.

Maybee it's possible to do a better job.

At the moment, I 'm not able at all to set in real condition this driver.

Thankyou for the support.

Fred.
Attached Files
File Type: txt i2c par fred le 03 01 2012 controledu ADV7183 .vhd.txt (4.5 KB, 155 views)
pitbuell94 is offline  
Old 3rd Jan 2012, 5:54 pm   #166
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

All TVP5150 data here:
http://www.ti.com/product/tvp5150am1

All register definitions in the datasheet here:
http://www.ti.com/lit/ds/sles209e/sles209e.pdf
ppppenguin is offline  
Old 3rd Jan 2012, 6:39 pm   #167
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

Thank you very much.

Now, it's better clear for me.

My previous datasheet only contain 40 sheets with fiew informations.

I try to find in few quantity the YVP5150.

Fred.
pitbuell94 is offline  
Old 4th Jan 2012, 6:35 pm   #168
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi ,

I make today this new code concerning internal setting of the TVP5150.

Concerning the TVP5150, does someone know where I can buy few quantity of them?

Some dealers sale huge quantity.

I just need 1 or 2 sample.

Concerning the code, I set internal register to have signal in input 1=> AIP1A

Discrete data output concerning VERTICAL, HORIZONTAL.....informations

Unmask SECAM, NTSC or PAL.

and I enable 8 Bit 4/2/2 YCbCr with enablingof discrete synchro output.

The setting are visible in the begining of my code.

=>

constant data: type_data:=(x"00", x"0d", x"c0", x"40");-- value of the setting

constant subadresse: type_subadresse=(x"00", x"03", x"04", x"0d");-- adress of the register.

Fred.
Attached Files
File Type: txt i2c par fred le 03 01 2012 controledu TVP5150 .vhd.txt (4.5 KB, 156 views)
pitbuell94 is offline  
Old 4th Jan 2012, 9:05 pm   #169
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

Quote:
Originally Posted by pitbuell94 View Post
Hi ,

I make today this new code concerning internal setting of the TVP5150.

Concerning the TVP5150, does someone know where I can buy few quantity of them?

Some dealers sale huge quantity.

I just need 1 or 2 sample.

Fred.
Fred,
Here are some sources for the TVP5150A:

http://fr.farnell.com/texas-instrume...85?Ntt=tvp5150

http://search.digikey.com/us/en/prod...16-1-ND/657839

https://avnetexpress.avnet.com/store...410+4294944676
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline  
Old 5th Jan 2012, 5:49 pm   #170
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

Thank you Darryl for information.

I'll order soon the parts.

For someone who have some interest, in attached document there is some screen shot of my I2C VHDL driver.

It seem to be that all is running

Now, I 'm waiting some TVP5150.

Fred.
Attached Files
File Type: doc Screen shot of I2C signal for TVP5150.doc (334.0 KB, 156 views)

Last edited by pitbuell94; 5th Jan 2012 at 5:51 pm. Reason: finger go ahead my brain...
pitbuell94 is offline  
Old 5th Jan 2012, 6:02 pm   #171
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi again,

I forget some data in my previous post.

First screen is the complet sequence.

The four following are in detail the four command to set the TVP5150.

You can check all the value step by step.

Each sequence need 28 rising step.

The yellow vertical line match with the last 28 step.

For the first one you can find the following value =>

address of the TVP : 1000 1000 => 88X
adress of the register : 0000 0000 => 00X
data of the register : 0000 0000 => 00X

For the next step:

address of the TVP : 1000 1000 => 88X
adress of the register : 0000 0011 => 03X
data of the register : 0000 1101 => 0DX

....

Hope this help someone.

Fred.
pitbuell94 is offline  
Old 21st Jun 2012, 7:36 pm   #172
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Dear All,

After a very long period in stand-by mode, I restart this topic.

After some new tests, my TDA8708 and TDA8702 work fine.

If I connect directly the output of the TDA8708 true the TDA8702, the video signal is OK.

It seem to be that my problem come from propagation delay between the output of my TDA8708 and the data coming inside the FIFO.

I need to insert some delay before enabling the processing.

I'll send some pic later.

Fred.
pitbuell94 is offline  
Old 18th Jul 2012, 2:26 pm   #173
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Dear Gentleman,

Some goods new for the futur.

I try today an other design for the clock and the FIFO.

The result is good even if the output picture are not so good like the input.

Some noise affect the output because there is some bug noise on the power supply.

For the input, the signal comes from a camera at 625 Lines in pal.

The signal in then converted in 8 bit Signal( TDA8708A)and sent to a FIFO.
Then, the FIFO give a delay of 256 µs or 4 lines.
The digital signal is then turn to analog with the classiq TDA8702.

In my first design, the problem comes from the Clock.

All the clock run at the same phase but, the TDA8708A need at least 16 to 20 ns to output the digital signal.

I design a clock running at 12.5MHz with four outputs with 90° different phase.

So, there is 20 ns between each rising edge of this new clock.

The first clock at 0° drive the TDA8708A,

The next one at 90 or 180 drive the clock to put inside the FIFO data coming from the TDA8708A.

The aim of this test is to check why my first design give strange video.

Now, next step is to include these modification in the complete design.

Hope this information will help someone.

Fred C( Pitbuell94).
Attached Thumbnails
Click image for larger version

Name:	Signal from direct camera.jpg
Views:	257
Size:	74.1 KB
ID:	68726   Click image for larger version

Name:	Signal at the output of TDA8702.jpg
Views:	255
Size:	82.9 KB
ID:	68727  
pitbuell94 is offline  
Old 25th Jul 2012, 8:24 pm   #174
wiwior
Tetrode
 
wiwior's Avatar
 
Join Date: Apr 2006
Location: 60510 la Neuville en Hez France
Posts: 71
Default Re: 819 line standards convertor.

Hi Fred,

congratulation you nearly finish maybe an idea but linearity seems not good.

maybe use a pattern for your test or a problem with your televison set or monitor.

when you cumulate problem it's not easy to understand when problem comes from.

hope too see you this summer.

sincerely as all

wilfrid
wiwior is offline  
Old 26th Jul 2012, 1:32 pm   #175
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Wiwior,

The Picture you've seen are not the picture of the complet converter.

These pictures are to test the process to convert analog video into digital and to convert digital to analog.

At this time, the system make 625 to 625 with a delay of 4 lines.

I want to test the storage in the FIFO and this part run ok.

I'm actually spending time to understand why the signal is so poor when the system run at 819 lines.

I can see deeper on the background a part of the original picture...

I send pic soon of the actual statment of the converter.

Maybee for Christmas the system'll run

Fred C.( Pitbuell94)
pitbuell94 is offline  
Old 27th Jul 2012, 11:17 pm   #176
Radio Wrangler
Moderator
 
Radio Wrangler's Avatar
 
Join Date: Mar 2012
Location: Fife, Scotland, UK.
Posts: 22,799
Default Re: 819 line standards convertor.

Inevitably many designs have more states than get defined, so a "When others" in every case statement has always been wise in order to have a resilient design with escape routes from possible hang-ups created by unforeseen input combinations and timings.

My experience has been with Altera, and I found problems in the late 90's with their Maxplus2 and Quartus compilers seemingly not truly implementing "When others". My testing managed to put some state machines into conditions from which they did not exit as stated while some other states did. I think there was smoke coming out of my ears as I redid the machines by hand at gate-flipflop level and locked them.

Making a catch-all compulsory is something I'm easy with, but it had better work

David
__________________
Can't afford the volcanic island yet, but the plans for my monorail and the goons' uniforms are done
Radio Wrangler is online now  
Old 28th Jul 2012, 12:11 pm   #177
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

these are the pic of the desaster...

Fred C.( Pitbuell94)
Attached Thumbnails
Click image for larger version

Name:	Result after the converter in 819 lines.jpg
Views:	172
Size:	28.8 KB
ID:	69096   Click image for larger version

Name:	original patern in 625.jpg
Views:	174
Size:	12.8 KB
ID:	69097  
pitbuell94 is offline  
Old 2nd Aug 2012, 10:14 am   #178
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

Actually, the system make the conversion from 625 to 819.

I can see the video of my camera but, the contrast is not correct => poor quality even if the scan rate is 12.5MHz.

Another problem is the pic move from right to left at slow rate.

There is two pic visible on the screen at the same time.
One is fix an the other moves.

It's like one pic moves from right to left and when this pic reach by the right side of the screen the fix one, the fix one start to moves and the other stop and get fix.

Any idea?

I have a problem to fix the real pulse of a new starting frame.

In fact, the LM1881 gives 2 pulses at 20 ms interval and I just need one at line 1.

I send pic.

Fred C( Pitbuell94).
pitbuell94 is offline  
Old 2nd Aug 2012, 2:42 pm   #179
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

The pic.

fred.
Attached Thumbnails
Click image for larger version

Name:	DSCN1158 compressées.JPG
Views:	184
Size:	22.9 KB
ID:	69279   Click image for larger version

Name:	DSCN1162compressée.JPG
Views:	188
Size:	44.0 KB
ID:	69280  
pitbuell94 is offline  
Old 2nd Aug 2012, 4:31 pm   #180
cmjones01
Nonode
 
Join Date: Oct 2008
Location: Warsaw, Poland and Cambridge, UK
Posts: 2,669
Default Re: 819 line standards convertor.

The contrast problem looks like a bit error in your digital video. Assuming the digital video is 8 bits wide, it looks like bits 7 and/or 6 are swapped, stuck or inverted. Have you got a signed/unsigned data type mismatch somewhere?

The way to address this is to feed a greyscale into the converter and look at the output on a scope. The output should also be a monotonic greyscale. If it isn't, you should be able to work out which bits of each video byte are wrong by the resulting pattern.

The moving/rolling picture looks like the 819 line monitor isn't receiving any sync pulses or can't lock on to them. What do they look like on a scope? Are they the right amplitude and frequency? Have you tried adjusting the horizontal hold on the receiver?
cmjones01 is offline  
Closed Thread

Thread Tools



All times are GMT +1. The time now is 4:08 pm.


All information and advice on this forum is subject to the WARNING AND DISCLAIMER located at https://www.vintage-radio.net/rules.html.
Failure to heed this warning may result in death or serious injury to yourself and/or others.


Powered by vBulletin®
Copyright ©2000 - 2024, vBulletin Solutions, Inc.
Copyright ©2002 - 2023, Paul Stenning.