UK Vintage Radio Repair and Restoration Powered By Google Custom Search Vintage Radio and TV Service Data

Go Back   UK Vintage Radio Repair and Restoration Discussion Forum > Specific Vintage Equipment > Television Standards Converters, Modulators etc

Notices

Television Standards Converters, Modulators etc Standards converters, modulators anything else for providing signals to vintage televisions.

Closed Thread
 
Thread Tools
Old 24th Nov 2011, 5:37 pm   #141
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

For the output of your converter you must make a decision about how to add syncs.

1. Generate the entire waveform digitally. Use a DAC to convert composite signal to analogue.

OR

2. Use a DAC to convert the luminance signal to analogue. Add sync pulses using analogue methods.

Both are good methods. I have used both in different designs.

You must also make decisions about what digital levels represent black and white.

For method 2 above you might choose black = 0 and white = 255. Or black = 64 and white = 235 (CCIR601 values). It is your choice. You can use a multiplier and adder to achieve the chosen levels. If you choose black > 0 then you should remove all values below black.

For method 1 you also need to choose sync tip level. I would choose 0. You can then choose (for example) black = 109 and white = 255.

This fragment of VHDL code shows adding sync to video. I have not attempted to shape the sync pulse edges in this example.

If MIXED_SYNC819 = '1' then SYNC_LEVEL <= 109; else SYNC_LEVEL <= 0; endif;

COMPOSITE_VIDEO <= LUMINANCE + SYNC_LEVEL;

To test your sync adder and DAC I strongly recommend you design a test waveform generator into the Xilinx. A simple ramp is good. You need a counter that is reset by a horizontal pulse.
ppppenguin is offline  
Old 25th Nov 2011, 2:24 pm   #142
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

Thank you for all these informations.

I'll try this on monday.

Have a nice week-end.

Frédéric.
pitbuell94 is offline  
Old 28th Nov 2011, 2:08 pm   #143
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

I do what you explain to me and now I have a good process to send the top synchro pulse and later the LUMA.

I make so screen shots.

I continu and hope now everything go on the right way.

Greats thanks for all.

Frédéric.
Attached Thumbnails
Click image for larger version

Name:	DSCN0137.jpg
Views:	174
Size:	37.9 KB
ID:	59224   Click image for larger version

Name:	DSCN0138.JPG
Views:	191
Size:	70.4 KB
ID:	59225   Click image for larger version

Name:	DSCN0154.JPG
Views:	179
Size:	61.0 KB
ID:	59226   Click image for larger version

Name:	DSCN0143.JPG
Views:	179
Size:	47.2 KB
ID:	59227   Click image for larger version

Name:	DSCN0149.JPG
Views:	190
Size:	57.0 KB
ID:	59228  

pitbuell94 is offline  
Old 28th Nov 2011, 2:11 pm   #144
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi,

As you can see, there is some jitter problem at the end of the line.

The vertical line are no the straight...

Frédéric.
pitbuell94 is offline  
Old 28th Nov 2011, 9:38 pm   #145
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin and Darryl,

Is-it possible I meet some problem because my sample frequency is too low?

My pixel duration is 100ns for the sample rate of the 625 lines signal.= > this give 510 pixel per line

I use a 10MHz frequency sample rate.

For the output, the frequency pixel line is 12.5MHz and pixel duration is 80ns.

Need-I to put a low pass filter to avoid problem with input frequency upper of 5 MHz for the input signal?

what happend if the input signal is too hight regarding the sample rate?

Thank you.

Frédéric.
pitbuell94 is offline  
Old 28th Nov 2011, 10:04 pm   #146
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

The jitter is not caused by choice of clock frequency. It is caused by jitter on your clock pules. We do not know how you are generating your input and output clocks. The input sampling clock must be phaselocked to line sync. If you are using only a few lines of storage the output clock must be locked to the input clock. If you are using a framestore the output clock can run free.

10MHz is too low for sampling a 625 line signal**. The Nyquist limit is 5MHz so there will be aliasing. The professional "601" digital TV standard uses 13.5MHz sampling. Even at this frequency the analogue low-pass filter is difficult. Single chip decoders such as the SAA7113 and TVP5150 sample at 27MHz which makes the analogue filter much simpler. They convert from 27MHz to 13.5MHz using digital filters. They also provide a 27MHz clock which is suitable for the input side of a standards converter.

Google sampling aliasing for lots of information about what happens when you sample below the Nyquist limit.

**The Domino 625>405 converter used 10MHz sampling. The bandwidth was limited because of this. Darius's analogue converter used CCD delays. THis also had a low sampling frequency and limited bandwidth.
ppppenguin is offline  
Old 28th Nov 2011, 10:07 pm   #147
Boom
Retired Dormant Member
 
Join Date: Jan 2007
Location: Westbury, Wiltshire, UK.
Posts: 2,451
Default Re: 819 line standards convertor.

Hi Frédéric, I have been following your work fascinated. I am probably jumping the gun but is it at all likely that this could be modified to run at 405 lines? 819/2 isn't that far off.
Boom is offline  
Old 29th Nov 2011, 9:06 am   #148
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

Ok, Now, I understand why my picture was so bad.

I need to change all my frequency rate sample.

I just store few lines.

We do not know how you are generating your input and output clocks.
=> All my clock come from the master clock of the SPARTAN => 50MHz.

The input sampling clock must be phaselocked to line sync.
=> at each new frame sync pulse, I start my sampling process

If you are using only a few lines of storage the output clock must be locked to the input clock.
=> I think this is the only correct thing of my project

If you are using a framestore the output clock can run free.
=> my design don't use this method.

Well, hard job is waiting me now.

I make newbee's error .

Let's go to work better now.

@ Boom, I think it's possible to modify my projet to run at 405 line rate.

But at this moment, nothing run.

For miracle, please give me a delay.

Fred.
pitbuell94 is offline  
Old 29th Nov 2011, 9:24 am   #149
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

Quote:
Originally Posted by pitbuell94 View Post

Ok, Now, I understand why my picture was so bad.

I need to change all my frequency rate sample.
This is not the main cause of bad pictures. The only problems a low sampling rate will cause are poor resolution and aliasing.

Quote:
Originally Posted by pitbuell94 View Post
We do not know how you are generating your input and output clocks.
=> All my clock come from the master clock of the SPARTAN => 50MHz.
What is providing the 50MHz clock? A crystal oscillator or something else?

Quote:
Originally Posted by pitbuell94 View Post
The input sampling clock must be phaselocked to line sync.
=> at each new frame sync pulse, I start my sampling process
Assuming I have understood you correctly, this will give bad jitter problems. It is not easy to do a good low jitter phaselock to line sync pulses. That is why Darryl and I use decoder chips such as SAA7113 etc which do the difficult jobs for us.

It is possible to use an unlocked clock for sampling the input video but the digital processing becomes very complex. The Techwell TW2814 is an example of a chip using this technique.
ppppenguin is offline  
Old 29th Nov 2011, 4:19 pm   #150
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

Frederic,
I'm a little lost on exactly where you are at on this effort. Could you post a schematic of exactly what the hardware is right now.

Darryl
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline  
Old 1st Dec 2011, 5:39 pm   #151
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Darryl and PPPPenguin,

In attached file, there is the schematic of my system.

All condensators for decoupling the power supply are 4.7 µF and 100nF.

The components close to the TDA 8708 are what the technical datasheet gives.

I use the same way for the TDA 8702 and the LM1881.

I think I have other problem in my design because when I have a dark signal coming from the camera, the output of the TDA 8702 give the maximun luma value and this is the reverse way when there is light in front of the camera, the TDA 8702 give the minimun value...

In the same way, I make mistake concerning calculation of the visible area in 819 standart

If I use 3 lines in 625 to make 4 in 819 output, there is :

288 visibles lines/3 => 96 extra line for 819 standart
288 + 96 => 384 lines created but I need only 368.5

In my design, I stop the visible area when 368,5 lines are seen.

To be sure about signal coming from TDA8708, I'll put switch in place of TDA8708 and I inject in the XILINX b"00000000" and ..... until b"11111111".

Thank you very much for the support.

Frédéric.
Attached Files
File Type: pdf Schéma convertisseur video 819.pdf (394.7 KB, 227 views)
pitbuell94 is offline  
Old 1st Dec 2011, 9:26 pm   #152
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

Concerning this point,

Quote:
Originally Posted by ppppenguin
What is providing the 50MHz clock? A crystal oscillator or something else?
The 50Mhz come from the 50MHz Crystal on the NEXYS 2 board.

It's an sqare signal.

Frédéric.
pitbuell94 is offline  
Old 1st Dec 2011, 9:45 pm   #153
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

You are sampling the input video with a clock that is not locked to H sync. This means that samples on each line will not be directly below each other. This will be visible as jagged verticals as you have seen.

You must do one of these 3 things:

1. Design a phaselock system to lock the clock to H sync

2. Use a decoder chip such as TVP5150 or SAA7113 which includes a clock phaselock

3. Design a digital filter system that will re-align the samples

#3 is difficult. Please don't do this.
#1 requires care and experience of analogue design.
#2 is simple
ppppenguin is offline  
Old 1st Dec 2011, 10:24 pm   #154
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

Frederic,
It looks like your basic hardware design should work within limits. As Jeff already mentioned, you are sampling the incoming data asynchronously, and this will cause jagged vertical sampling. Creating a clock that is phase locked to the input is a good solution, but can be tricky to design and get working properly. Using a packaged chip like the SAA7113 or TVP5150 is the best approach since all the front end video decoding is handled for you. You would need to create an IIC master to program these chips, but that is not difficult to do in the fpga. These are also surface mount parts which may be harder for you to work with, but adapter boards to convert them to leaded devices are available.

I can't tell from your schematic, but you should be setting the filter on the TDA8708 to remove as much of the 4.43MHz chroma subcarrier as possible. This will limit the overall bandwidth, but is probably the easiest approach.

Since the TDA8708 is an 8 bit device and includes the sync region in it's conversion, you should do the same with the output TDA8702. By reserving 0 through 63 for sync and using 64 through 255 for video, you don't have to do any correction on the data. If you are averaging lines, be sure to remove the 64 offset, do the average and then add it back on.

Darryl
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline  
Old 2nd Dec 2011, 10:17 am   #155
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi Darryl and PPPPenguin,

I meet some problem because I never use the I2C bus.

Use small parts is not an issue for me.

I'm actually reading the datasheet of the TVP5150

Well, I think an other night find me alone...

I need to undertsand in correct way howto create a state machine to send in good way all the code to the TVP5150.

Thank a lot forthe support.

Fred.
pitbuell94 is offline  
Old 2nd Dec 2011, 11:17 am   #156
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

For initial experiments it is easy to use a parallel port on a PC to control I2C. The PC needs to run Windows 98 or earlier (W95, W3.1 or DOS are all OK) to allow direct access to the hardware. I can give you suitable programs written in QuickBasic.
ppppenguin is offline  
Old 2nd Dec 2011, 4:13 pm   #157
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

I'm interested concerning your program.

I've an old computer running with windows 3.XX on a 486 processor.


I suppose I need to build a PCB to connect the TVP 5150 to the computer.

I never use the I2C bus, but I guess it's the better way to sove all my nightmare.

Fred.
pitbuell94 is offline  
Old 4th Dec 2011, 7:22 pm   #158
ppppenguin
Retired Dormant Member
 
ppppenguin's Avatar
 
Join Date: Dec 2003
Location: North London, UK.
Posts: 6,168
Default Re: 819 line standards convertor.

Here is some QB code I wrote to control I2C devices in a design I did in about 1994. I will try to find the schematic of the adaptor cable. It was very simple. 25 pin D connector for printer port, 2 core screened cable and possibly 2 diodes and 2 resistors.

The program simply toggles 2 pins of the printer port to simulate the I2C protocol.
Attached Files
File Type: zip IICSTUFF.zip (5.5 KB, 214 views)
ppppenguin is offline  
Old 5th Dec 2011, 12:24 am   #159
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

Once you move to the TVP5150 and get Jeff's QB code working, you'll want to move the IIC controller into the fpga. I can help you out with some code that I use for an IIC master.

Darryl
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline  
Old 6th Dec 2011, 5:16 pm   #160
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguinn and Daryl,

Thank you for the support.

I'm actually on the road all around the France for one week.

I'm not anymore at my house untill next week so, at the moment, nothing go on.

I've upload the soft for I2C.

Next week I'll try to use it.

Fred.
pitbuell94 is offline  
Closed Thread

Thread Tools



All times are GMT +1. The time now is 9:07 pm.


All information and advice on this forum is subject to the WARNING AND DISCLAIMER located at https://www.vintage-radio.net/rules.html.
Failure to heed this warning may result in death or serious injury to yourself and/or others.


Powered by vBulletin®
Copyright ©2000 - 2024, vBulletin Solutions, Inc.
Copyright ©2002 - 2023, Paul Stenning.