View Single Post
Old 20th Oct 2011, 9:23 am   #87
pitbuell94
Retired Dormant Member
 
Join Date: May 2009
Location: Fresnes, France
Posts: 124
Default Re: 819 line standards convertor.

Hi PPPPenguin,

You're right concerning the 6MHz clock for the TDA8708.

I've made a mistake... it should be at least 13.5Mhz.

I'll change this right now.

Concerning the oscilloscope, I'll check everything because it's an TEKTRONIX with 4 * 100 mhZ.

Maybee, it's a problem coming from the probe.

Can you confirm me that the output of the FPGA give all the time for any frequencies in the normal range square signal ?

I don't need to buffer output clock?

I'm very sorry to disturb you with this but I'm so close to the end...

I think now, I just need some small fine tuning setting concerning the VHDL code.

I check with separate board the hardware part=> all are OK.

I hope the system run until Christmas.

Thank you very much for your support.

Frédéric.
pitbuell94 is offline