View Single Post
Old 7th Dec 2010, 7:49 pm   #14
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

Quote:
Originally Posted by ppppenguin View Post
There is an important app note from Xilinx that says very strongly: "Don't use global async reset". It may be useful for simulation but it's a very bad idea for synthesis.
Are they referring to the GSR of the STARTUP Block here? This would be a bad thing to use in the case of a design with multiple asynchronous clocks since you would almost certainly have metastability coming out of reset.

For what ever reason, Xilinx and most of their IP partners love to use the async clr/pre. I just got some code from a third party IP partner of Xilinx's, and beside them doing things like forcing async delays to makeup for clock delays (always a bad thing) every single process starts with an async IF for the clr/pre's. I have done this in specific situations, but also prefer that everything stay synchronous.

Darryl
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline