View Single Post
Old 20th Oct 2011, 1:44 pm   #89
tubesrule
Hexode
 
tubesrule's Avatar
 
Join Date: Jul 2004
Location: Michigan USA
Posts: 325
Default Re: 819 line standards convertor.

Frederic,
I really can't add anything to what Jeff has already said. I routinely run fpga outputs at over 100MHz and have driven them as high as 270MHz. Even with a low drive strength you should not be having an issue driving a single load at 12MHz. I would verify your scope setup as Jeff has suggested.

You also really should investigate the Xst:2169 - HDL ADVISOR error as this indicates you are driving non-clock loads. While there is nothing inherently wrong with this (I do it regularly for various reasons) you need to be fully aware of the implications.

Darryl
__________________
Aurora video standards converters: http://www.tech-retro.com/Aurora_Design/Video_Home.html
tubesrule is offline